Neuer (FPGA-) Clone aus Italien angekündigt

Sinusschwingungen oder ganz allgemein Schwingungsformen werden mit
Oszillatoren erzeugt. Basis dieser Oszillatoren ist ein Schwingkreis auf eine bestimmte
Frequenz ausgelegt. Die frequenzbestimmenden Glieder sind Kondensatoren parallel oder in Reihe zu Widerständen oder Kondensatoren parallel zu Spulen die damit einen Schwingkreis bilden.
In allen Fällen wird die Schwingung durch Auf / Entladung von Kondensatoren erzeugt.
An Schwingungsformen können reine Sinusschwingungen , Rechteckschwingungen oder Sägezahnschwingungen erzeugt werden, je nachdem wieviel Oberwellen / Harmonische man haben möchte.
Die einzelnen Schwingungsformen selbst können dann auch umgeformt werden durch entsprechende
Filter.
Eine Rechteckschwingung zB kann man aber auch durch ein Programm erzeugen lassen welches in
bestimmten Zeitrastern einen Ausgang an bzw abschaltet. Die Zeitraster selber werden durch Zähler aus der Taktfrequenz des Rechners erzeugt zB einen Ausgang alle 2000 Impulse abwechselnd an oder auszuschalten.
Mit einem FPGA zB kann man jedoch absolut freilaufende Oszillatoren aufbauen die selbstständig nach Einschalten das gewünschte Signal zB eine Sinusfrequenz erzeugen. Hierbei wird dann nichts irgendwie
berechnet und die erzeugten Frequenzen stehen permanent zur Verfügung.
Wenn es heißt ein FPGA wird programmiert ist das sogesehen nicht richtig, weil es eben
kein " Programm " ist was eingegeben wird. Das was man da eingibt sind die Daten wie die interne hardwaremäßige Schaltung auszusehen hat , quasi per Programm gegossene Hardware.
 
Ok, danke happyfreddy. Wenn ich das richtig verstanden habe, sind diese FPGA's das Herz oder Hirn der ganzen Lösung, die ihrerseits weitere elektronische Bauteile steuern. Schon spannend in einer solchen Zeit zu leben in der sich die Technik so rasend schnell entwickelt. Wieviel genialer Entwicklergeist steht zwischen dieser Erfindung in den 30ern und der Emulation von Carsten! Zu was der menschliche Geist fähig ist veranschaulicht die Geschichte der Hammond auf eindrückliche Weise. Es freut deshalb besonders, weil es sich auf dem Gebiet der Musik abspielt. Eine Ausdrucksform, die keinen Mensch unberührt lässt und unser Innerstes berührt
 
Hi,
Ein FPGA ist schon ein interessantes Bauteil mit dem man alles mögliche machen kann.
Früher hat man sich seine Schaltungen zusammengelötet.
Dann folgten irgendwann sogenannte Steckbretter mit kleinen Modulen, die man zu größeren
komplexen Einheiten zusammenfügen konnte - immer noch wurde noch gelötet Bauteil für Bauteil .....
Einige Zeit später dann die ganze reale Bastelei in Form von Softwarelösungen die ein " verdrahtetes "
Gebilde nur simulierten. Eine Simulation ist aber nur annähernd mit echter Hardware vergleichbar.
Ganz anders jedoch so ein FPGA womit man bessere Mögklichkeiten ohne das leidige Zusammenlöten
hat. Nur um das zu begreifen muß man sehr viel lesen und sich in die Materie einarbeiten.
Ich erinnere mich noch so um 2008 herum, wo Carsten mit dem dicken Wälzer von etlichen tausend Seiten wie man in VHDL , der Sprache der FPGA´s, programmiert in Urlaub gefahren ist.
Das erste Projekt was er mit einem FPGA realisiert hat war seinerzeit das FPGA Modul im Zuge des damaligen c`t LAB Projektes. So nebenbei entstand dann ein Spiele Computer des Android Spiels wo er die komplette Hardware eines Spiele Computers in einen FPGA gepackt hatte. Platzbedarf ca 1/4 des kleinen FPGA´s. Ein kompletter ATARI hätte darin auch noch Platz gehabt. Und wenn Spiele funktionieren so kann man damit sicherlich auch Töne produzieren.
Ehe man sich versah hatte er einen FPGA dazu überredet 91 Sinustöne wie in einem Hammond Generators zu produzieren. Während ich hier noch ein altes 5 Oktaven Böhm Manual mit 10 Tastenkontakten unter jeder Taste dafür vorbereitet habe strickte er bereits an einer 1 Kontakt pro Taste Lösung und verfrachtete die gesamte Verharfung samt Zugriegelabfrage auch noch in den FPGA
- der erste Hoax Prototyp war fertig.
Und noch immer war Platz im FPGA, der dann in Folge mit dem Scannervibrato und der Percussion gefüllt wurde. Für die erste Lesliesimulation war dann jedoch kein Platz mehr vorhanden, so daß auf den nächst größeren FPGA gewechselt werden mußte ( mk2 Board ). Damit konnte dann in weiterer Folge das zweite Manual sowie die doppelten Zugriegelsätze etc Einzug halten.
Die weitere Geschichte ist bekannt .......

Bei Hammond gab es jedoch nicht nur eine B3 oder C3 / A 100 sondern auch eine H 100.
Die hat jedoch statt 91 Tonewheels derer 96 und auch pro Zugriegelsatz zwei Mixtur-Zugriegel sowie einige Fußlagen mehr in jedem Manual. Auch verfügt sie über zwei Vibratoscanner statt dem einen in einer B3 etc. Die Percussion einer H 100 funktioniert auch völlig anders als die einer B3 und hat auch mehr Möglichkeiten.
Leider paßt sowas nun nicht mehr in den derzeitigen FPGA .........
 
Einen Sinus kann man mit einem Oszilllator indirekt erzeugen, indem man die Variablen "Beschleunigung", "Geschwindigkeit" und "Weg" hernimmt und die Gleichungen hinschreibt - inklusive der Rückkopplung der zweiten Ableitung (a=-d2(s)/dt2) und die Zeitschritte berücksichtigt mit denen man berechnet:

Weg = Weg + Geschwindigkeit * Zeitfaktor.
Geschwindigkeit = Geschwindigkeit + Beschleunigung * Zeitfaktor
Beschleunigung = - Weg * Kopppelfaktor (das Minus ist hier das Wichtige).

Das ergibt einen idealen mechanischen Schwinger. Einmal einen Wert in die Variable "Weg" reingeladen, schwingt er ewig und produziert einen Sinus.

Der nächste Schritt ist das Einbringen von Verlusten, also Geschwindigkeit zum Quadrat * Reibung und das von der Beschleunigung abziehen. Ergibt eine gedämpfte Schwingung.

Als nächstes den Koppelfaktor nichtlinear vom Weg abhängig machen: Er gibt Oberschwingungen. So langsam bekommt man dann einen Schwingkreis. Die Parameter bestimmen die Frequenz.

Das Ganze dann in eine digitale Schaltung übersetzen, Rundungs- und Fehlerausgleich implementieren und möglichst hoch abgetastet berechnen.

www.96khz.org/doc/vasynthesisvhdl.htm
(das rote ist der generierte Puls, das blaue die eingeschaltete Taste)

Ab da kommt der persönliche Geschmack des Designers rein, wieviele Fehler der analogen Technik er einbauen will. Reibung z.B. ist nicht konstant, sondern hysteretisch und diskretisiert, einfache Transistorverstärker sind im Detail betrachtet nirgendwo richtig linear, etc, etc, etc.

Ein elektrischer Schwingkreis hat z.B. eine ganze Reihe von "unschönen" Effekten, die von diesem idealen mechanischen Schwingkreis abweichen. Vor allem die Nichtlinearität der Magnetisierung der Spulen sowie die starke Frequenzabhängigkeit des Dielektrikums im Kondensator erzeugen eine recht wüste Übertragungskennlinie. Sowas nachzubilden, um einen Analogsynthesizer, eine Filterbank, eine Röhre oder ein Magnet-Tape zu emulieren, ist schon deutlich aufwändiger.

-------------

Die Alternative ist, den Sinus mathematisch per Reihenentwickung auszurechnen, oder ihn aus einer Tabelle auszulesen. Siehe "DDS".

Die klassischen wavetable-Synthesen lesen aus einer Tabelle - gerne auch gleich komplette Wellen. Das Problem dieser Wellen ist aber, dass sie Obertöne haben, und man nicht - wie bei der DDS - mit einem Filter leicht oberhalb der Grundfrequenz filtern kann, weil man einen Sinus haben will. Daher sind diese Tabellen besonders empfindlich gegenüber den Sprüngen, die man infolge der veränderten Frequenzen vollziehen muss. Diese Sprünge erzeugen dann Störfrequenzen, die man bei praktisch allen Wave-Table und ROMler-Verfahren messen und hören kann.

Sehr sauber ist da z.B. die additive Synthese, wenn man einen Klang aus Sinuswellen zusammensetzt, welche aus perfekten DDS-Schaltungen kommen. Früher hat man das mit KlangChips und ASICs gemacht, heute geht das manuell in einem FPGA. Allerdings muss man da ein bisschen tricksen, um es exakt hinzubekommen und die dafür notwendigen Prinzipien haben nicht so alle richtig verstanden. Zumindest bis zum Jahr 2006 nicht, denn da hatte Ich diesbezüglich mit einer industriellen Erzeugung solcher Wellen zu tun und der Kunde konnte die erarbeitete Lösung überraschenderweise patentieren, weil es das so noch nicht gab. Mein Synthe hat diese Lösung in einer für Consumer-Audio abgespekten Version drin, darf Ich aber nicht verkaufen :)

Superelegant und mit Optionen der Modulation im Komplexen, ist die Erzeugung von Sinus und Cosinus über e-Funktionen im Komplexen. Das geht nach Gauss 2-Dimensional und kann auch auf 3 und mehr Dimensionen ausgedehnt werden. 4D ist z.B. interessant. Siehe Quarternionen. Damit lassen sich komplexe Wellenabbilder / Schnitte und Vieles mehr erzeugen. Z.B. ist eine 2-fach gedämpfte Schwingung mit nur 3 Parametern zu beschreiben. Das zu berechnen erfordert aber schon einiges an Arithmetik. Der Sinus ist dabei nur ein ganz bestimmter Sonderfall, wenn die Parameter ein bestimmtes Verhältnis haben. Der Vorteil der Methode ist, dass sich die Fehler bei der Bildung der Integrale nicht aufsummieren und man jeden Wert iterativ praktisch perfekt für jeden noch so kleinsten Winkel exakt bestimmen kann. Daher fällt das Auflösungsproblem weg.

Eine Zwischenlösung aus Rechnen und Ablesen, ist das CORDIC-Verfahren. Dabei werden vordefinierte Zwischenlösungen in einer Tabelle gehalten und eine Lösung itarativ mit wenigen Schritten gefunden. Das ist für Sinus ohne Tabelle eigentlich das Genaueste und für Computerrechnungen das Beste. Auch in FPGAs wird das meistens SO eingesetzt. Damit kriegt man einen Sinus auch sehr genau hin, wenn man genügend lange iteriert.

Meistens ist es aber ohnehin so, dass Viele die Unterschiede nicht hören, die sich bei einer perfekten und weniger perfekten Welle ergeben, weil sich die Störungen bei 5kHz-10kHz aufwärts abspielen und dazu im Bereich einiger Prozente liegen. Außerdem tauchen beim Audio sehr selten perfekte Sinusfrequenzen auf, sondern sie sind moduliert. Das macht es nochmal verwaschener und weniger auffällig.

Was am Ende von einem eventuellen Mehr an Qualität und Authentizität rauskommt, hängt dann wie schon erwähnt von den AD-Wandlern, der analogen Elektronik des jeweiligen Systems und schließlich von den Lautsprechern ab. Von daher bin Ich immer sehr skeptisch, wenn Ich elektronische Klangerzeuger anhöre - besonders, wenn sie über Lautsprecher und externes Equpiment spielen. Man weiss so ohne Weiteres nicht, woher nun Unterschiede kommen und was da alles im Signalpfad drin steckt und was mit welcher Intention oder zufällig an Klang produziert wird. Das Beispiel Exciter zeigt ja sehr schön, dass es Klangverzerrungen sind, die Brillianz in den Mix bringen. Besonders kritisch ist das Speichern in komprimierten Formaten wie MPG etc. Wenn das Endprodukt z.B. über Youtube oder mp3s beworben und verbreitet wird, dann muss man nicht glauben, da irgendetwas beurteilen zu können.

Solche Synthies muss man sich letztlich anhören, ausleihen und sich auch durchmessen.
 
.... und wenn dann ein vorhandenes " Vorbild " = das was eine Hammond an Sinus produziert
nachgeahmt werden soll wird es so richtig kompliziert.
Hier ist es unabdingbar beim Original genaustens zu analysieren was da wo und warum passiert
Die reine Erzeugung der Töne dagegen schon fast ein Kinderspiel.
Hinzu kommen dann jedoch noch die " Eigenarten " des Tonwheelgenerators wie
Schlupf, Übersprechen etc. Wenn dann noch Feinheiten abstimmbar sein sollen wie
Alterung von Kondensatoren müssen hier Parameter variabel (in gewissen Grenzen) definierbar sein.
Solche Möglichkeiten müssen gegeben sein wenn schon im Original Orgeln aus gleicher
Baureihe unterschiedlich klingen.

Von Fehlern in der analogen Technik würde ich hier nicht sprechen. Es sind vielmehr die damaligen
mechanischen " Unzulänglichkeiten" in der Produktion, die für uns heute den eigentlichen Reiz am Instrument ausmachen. Einen Tonewheelgenrator heute in CNC Technik produziert fände sicherlich nicht
die Zustimmung wie das Ohr bei den alten Instrumenten gewohnt ist.
Genau das umzusetzen ist die eigentliche gedankliche Arbeit.
 
Das Wort "Fehler" ist in der Tat nicht immer angebracht, da diese Effekte oft genau den Klang machen und nachgebildetet werden müssten. Sprechen wir also differnzierter von gewollten und ungewollten Abweichungen. Da muss man bei solchen elektromechanischen Teilen wie einer HO natürlich die Frage stellen, inwieweit das Übersprechen, Eiern der Tonwheels, Unwuchten, Ausleihern der Lager, Asymmetrieren der Tonaufnehmer, Exemplarstreuungen und Vieles mehr beabsichtigt waren. :) Einen Sinus produziert so eine Orgel schon mal definitiv nicht.

Bei der Verstärkertechnik ist es z.B. auch interessant: Dort bemüht man sich ja eigentlich, besonders linear zu sein, bis auf vielleicht bei den Röhren, wo man die Verzerrungen inzwischen akzeptiert. Dort ist es schon angebracht von ungewollten Abweichungen zu sprechen, denn das, was ein Verstärker anderes tut, als Verstärken, ist nämlich unkontrollierte Klangbeeinflussung. Allerdings eine, die von Manchen dann gerne also gewollt hingestellt wird.

Umgekehrt ist es allerdings auch so, dass auch die Digitaltechnik ungewollte Abweichungen produziert. Es ist nur auf den ersten Blick einfach, Saiten und Mechanikschwinungen zu simulieren. Der Knackpunkt ist nämlich, dass alle Instrumente mehrdimensional aufgebaut sind und auch in unterschiedliche Richtungen strahlen. Eine Saite z.B. schwingt nicht an jeder Stelle gleich emmitiert aber in alle Richtungen - vor allem über das Holz. Das lässt sich mit einer Gleichung, die ja nur einen Punkt beschreibt, in keinster Weise darstellen. Bei einem Klavier (und auch der HO) ist es im Grunde dasselbe: Der Klang ist eine Summe unterschiedlicher Quellen.

Das ist im Übrigen auch einer von mehreren Gründen, warum das Nachbilden von Instrumenten über Samples mit anschließender Modulation der Frequenz / Abbildung im Raum durch Verhallen grundsätzlich nicht gelingen kann. Schon gar nicht mit nur 2-Kanalaufnahmen, womit wir wieder beim Synthesizer und Wavetables wären.
 
Halten wir einfach mal fest, daß nichts besser ist wie das Original...........
Nur ein " Original " kann sich nicht jeder leisten und so begnügt man sich
mit Clones die nur versuchen können jede Nyance bestmöglich nachzubilden.

Samples jedoch sind eigentlich die schlechteste Variante die man umsetzen kann.
Das liegt zum einen in der Abtastrate bei der anschließenden DA Rückwandlung.
Hierbei entstehen dann Oberwellen die im Original garnicht vorhanden waren.

Sogesehen ist ein Trend zurück zur analogen Technik nicht zu verdenken.
 
Samples jedoch sind eigentlich die schlechteste Variante die man umsetzen kann.
Bei einer Orgel, speziell einer B3, gebe ich dir da recht. Das liegt aber nicht an Abtastrate und Wandlung, sondern am speziellen Aufbau einer Tonewheel-Orgel, der sich mit dem "Framework" der üblichen Sampler etc. schlecht abbilden lässt.

Das liegt zum einen in der Abtastrate bei der anschließenden DA Rückwandlung.
Hierbei entstehen dann Oberwellen die im Original garnicht vorhanden waren.
Ähm, nein. Erstens entstehen die Oberwellen nur dann, wenn die Samplingfrequenz zu niedrig für das Ausgangssignal war, und zweitens gibt es genau dafür Antialiasingfilter (schon auf der Abtastseite, wenn möglich ;) ). Solltest du die "Treppenstufen" in der grafischen Darstellung vieler Lehrbücher meinen, so ist das leider ein weit verbreiteter, didaktischer Fehler, der da begangen wird. Diese Stufen gibt es so im zurückgewandelten Analogsignal nicht... Auch das ist ein ebenso weit verbreiteter Irrtum (weil es eben in den Lehrbüchern unglücklich grafisch dargestellt wird).
Und nebenbei: DIESES Problem hätte (wenn es so wäre) die HX3 dann auch, weil die am Ende nun auch einen DA-Wandler braucht, um das digital berechnete Signal wieder analog hörbar zu machen.
 
  • Gefällt mir
Reaktionen: 2 Benutzer
... wobei man hinzufügen muss, dass es keine perfekten AA-Filter gibt, daher nutzt man Überabtastung. Das hier zu diskutieren führt aber zu weit. Ist man mehreren Stellen im Internet ausgeführt. Die FPGAs, um der nächsten Frage vorzugreifen, bringen die Möglichkeit her, besonders hoch abzutasten, wobei es bei nur einem Kanal irgendwann nichts mehr bringt. Nach meinen Bechnungen und Messungen unter Berücksichtigung des technisch Machbaren bei Aufnahme und Wiedergabe ist bei 192kHz Ende. Wenn man perfektes Audio möchten, sodass es faktisch wie Analogg klingt, braucht man bis zu 768kHz Abtastrate. Damit lassen sich dann auch Interferenzen und Faltungen genügend genau durchführen, damit nicht durch "Alisasing" und andere Effekte falsche / ungewollte Anteile im Hörbaren von Relevanz entstehen.

Zu der Frage der Oszillatoren nochmal:

Hier ist ein Sound Demo, bei dem Osziallatoren mit Rauschen angeregt werden und damit als Filter agieren. Es sind 3, abgestimmt auf die Frequenzen von 440Hz, 550Hz und 660Hz.

http://96khz.org/htm/multichannelfilterbank2.htm

Die späteren Versionen der Filterbänke arbeiten feiner, sind enger abgestimmt und arbeiten mit eben jenen 768kHz. Und das kann man hören.
 
Dass der irgendwann mal eingeführte "CD-Standard" mit 44.1kHz nicht der Weisheit letzter Schluss ist und dass man für einen Modeling-Ansatz (Oszillatoren) selbstverständlich nochmal einen Faktor x für die (interne!) Samplingrate drauflegen muss, ist klar.
Aliasing war natürlich mal ein Thema, aber wie du schon schreibst, hat man das seit Jahrzehnten im Griff - so gut, dass selbst bei hochpreisiger Audiohardware in der Regel die Details zu Oversampling oder tatsächlicher Bittiefe der Wandler in den Specs gar nicht mehr erwähnt werden. In den 80ern und 90ern stand das bei jedem(!) CD-Player noch dran, z.B. "4-Bit Wandler, 256-fach Oversampling" (MASH, falls das noch jemand kennt :D ).
 
Naja, das oversamping der Wandler hat(te) den Sinn, den AA-Filter auf das DAC-Seite vernünftig auszusteuern und auf der ADC-Seite den Filter einfacher zu halten, bzw dessen endliche Güte zu kompensieren. An der Stelle ist man bei 192/24 auf einem guten Weg. Intern, also im Datenpfad ist das aber nur so, wenn man ausschließlich lineare Operationen verwendet und das ist bei den meisten Bearbeitungen nicht der Fall, beim Modelling praktisch nie. Daher musste (und muss) man da höher: Inzwischen sind wir eigentlich so weit, dass wir mit 384kHz prozessieren und uns dann dann oversampling bei den ADCs / DACs mehr und mehr sparen. :)
 
An der Stelle ist man bei 192/24 auf einem guten Weg.
Naja, Vorsicht - das ist ja nicht im engeren Sinne "oversampling", wie oben gemeint. 192/24 ist ja echte PCM-Samplingrate mit 24 bit (und ja, auch das macht Sinn bei der Aufnahme und der Verarbeitung). Oversampling meint ja eher, einen Wandler mit z.B. (MASH) 44,1kHz x 256 (= 11,3MHz) und 4 Bit zu benutzen, um ein PCM-Format von 44,1/16 zu erzeugen.
 
Die Wandler nutzen oversampling aber durchaus auch, wenn sie nicht auf niedrigere Samplingraten übersetzen.
 

Ähnliche Themen


Unser weiteres Online-Angebot:
Bassic.de · Deejayforum.de · Sequencer.de · Clavio.de · Guitarworld.de · Recording.de

Musiker-Board Logo
Zurück
Oben